logo
Пособие_VHDL

Практикум по теме

Для практического освоения материала раздела рекомендуется выполнить интерпретацию поведения программы сначала в пакете моделирования QuestaSim или ModelSim, а затем проанализировать работу синтезированного устройства в реальной ИС FPGA. Общие правила работы с пакетами типа QuestaSim v.6.5 и Quartus II v.9.0 имеются в приложении. Работа с другими (более поздними) версиями этих продуктов во многом аналогична. Для удобства работы обучающимся вместе с демо-версией пакета в общем случае предоставляются трафареты исходных текстов в электронном виде (исходные файлы).

Последовательность работы:

  1. Подготовительный этап

1. Создать директорий для работы.

2. Скопировать в директорий шаблон рабочей программы lab1.vhd и пакет util_1164.

  1. Моделирование

1. Запустить моделирующую программу (QuestaSim или ModelSim).

2. Просмотреть в редакторе текст файла lab1.vhd. Для данного раздела исходный файл lab1.vhd соответствует программе 1.1. Source.

3. Выполнить компиляцию проекта.

4. Загрузить скомпилированный проект в систему моделирования.

5. Открыть окна наблюдения Process, Signal, Wave.

6. Запустить процедуру моделирования, вызвав команду системы моделирования simulate.

5. Сформировать тестовую последовательность, используя команду

системы моделирования FORCE (в пределах необходимого для полноты проверки числа комбинаций входных данных).

6. Выполнить моделирование в пошаговом режиме.

7. Выполнить моделирование в автоматическом режиме. Просмотреть временную диаграмму в окне Wave и убедиться в правильности вычисления логической функции.

III. Синтез и имплементация.

1. Открыть пакет Quartus II (версия задается преподавателем).

2. Создать новый проект – File / New Project Vizard, указав созданный директорий и программу lab1.vhd.

3. Компилировать проект (Processing / Start Compilation), выбрав в качестве ПЛИС схему соответствующую семейству MAX 3000A.

4. Оценить затраты на реализацию проекта Processing / Compilation Report.

5. Просмотреть RTL вид проекта и его топологическую реализацию – Tools / RTL View – Tools / Technology Map View.

6. Компилировать проект (Processing / Start Compilation), опираясь на данные о ПЛИС, соответствующие используемому учебному стенду (Assignments / Device).

7. Повторить пункты 4 и 5.

8. Задать номера контактов ИС, соответствующие подключению учебной платы DE0 (приложение III).

9. Выполнить компиляцию проекта с назначенными контактами.

10. Загрузить в ИС полученный загрузочный файл проекта и проверить работоспособность разработки.